jam sekarang

Multiplexer VHDL template..!!

Tugas FPGA ke 2
mata kulh : Pemrograman devais FPGA
by : Jettira.v



Multiplexer berfungsi untuk memilih output dari beberapa input berdasarkan input pada kaki selectornya. Multiplexer memiliki rangkaian logika sebagai berikut :



Dalam tulisan kali ini akan dicontohkan kode program vhdl untuk membuat multiplexer dengan 4 input, 2 selector, dan 1 output. Multiplexer diatas memiliki tabel logika :
==========
= s1 = s2 = Y =
==========
= 0 = 0 = a =
= 0 = 1 = b =
= 1 = 0 = c =
= 1 = 1 = d =
===========

Pertama-tama definisikan entitas multiplexer dengan nama "kepletex" :
entity kepletex is -- mendefinisikan entity "kepletex"
port(
a,b,c,d,s1,s2: in bit; -- terdapat 4 port input, 2 selector, dan 1 output
y:out bit);
end kepletex;

Lalu definisikan arsitektur "mux_arch" dari entity "kepletex" :
architecture mux_arch of kepletex is
begin
proc: process is
begin
if (s1='0' and s2='0') then y <= a;
else if (s1='0' and s2='1') then y <= b;
else if (s1='1' and s2='0') then y <= c;
else if (s1='1' and s2='1') then y <= d;
end if;
end process proc;
end mux_arch;

Arsitektur berfungsi untuk menerangkan bagaimana entity "kepletex" bekerja. Namun kode diatas hanyalah berupa template, sehingga tidak bisa dijalankan untuk simulasi maupun di sintesis karena belum didefinisikan bit input pada masing-masing portnya. Untuk itu kita tambahkan entity "sinyal" dan arsitektur "sinyal_arch" yang berfungsi untuk memberikan input pada entity "kepletex".
entity sinyal is
port(
pa,pb,pc,pd,ps1,ps2:out bit);
end sinyal;

architecture sinyal_arch of sinyal is
begin
pros: process is
begin
pa <= '0';
pb <= '1';
pc <='1';
pd <= '0';
ps1 <= '1';
ps2 <= '0';
end process pros;
end sinyal_arch;

Semua kode vhdl diatas digabung menjadi satu menjadi :
library ieee;
use ieee.std_logic_1164.all;

entity kepletex is -- mendefinisikan entity "kepletex"
port(
a,b,c,d,s1,s2: in bit; -- terdapat 4 port input, 2 selector, dan 1 output
y:out bit);
end kepletex;

architecture mux_arch of kepletex is
begin
proc: process is
begin
if (s1='0' and s2='0') then y <= a;
else if (s1='0' and s2='1') then y <= b;
else if (s1='1' and s2='0') then y <= c;
else if (s1='1' and s2='1') then y <= d;
end if;
end process proc;
end mux_arch;

entity sinyal is
port(
pa,pb,pc,pd,ps1,ps2:out bit);
end sinyal;

architecture sinyal_arch of sinyal is
begin
pros: process is
begin
pa <= '0';
pb <= '1';
pc <='1';
pd <= '0';
ps1 <= '1';
ps2 <= '0';
end process pros;
end sinyal_arch;

-- kode dibawah ini merupakan kode yang berfungsi menjalankan --
-- entity yang telah didefinisikan diatas --

library work;
use work.all;

entity eksekusi is
end eksekusi;

architecture eksekusi_arch of eksekusi is
signal in1,in2,in3,in4,select1,select2,output: bit;
begin
w1: entity sinyal port map(in1,in2,in3,in4,select1,select2);
w2: entity kepletex port map(in1,in2,in3,in4,select1,select2,output);
end eksekusi_arch;

sedikit Tentang FPGA..!!

Tulisan FPGA ke 1
Mata kulaih : Pemrograman Devais FPGA
oleh : Jettira V


FPGA (Field Programmable Gate Array)

Merupakan suatu rangkaian elektronika yang terprogram untuk mengimplementasikan
rancangan suatu rangkaian digital,Field Programmable dapat diartikan bahwa FPGA ini
bersifat dapat dirancang sesuai dengan keinginan dan kebutuhan user/pemakai.
dalam FPGA tersebut terdapat banyak gerbang-gerbang logika,
interkoneksi masing-masing gerbang tersebut dapat dikonfigurasikan antara satu sama lainnya.
FPGA ini dikembangkan sejak tahun 1984 oleh perusahaan Xilinc yang berbasis di San Jose, CA. Perkembangan selanjutnya,
FPGA ini mulai diproduksi oleh beberapa perusahaan misalnya, Altera, Lattice, dan Quicklogic.
Diantara perusahaan-perusahaan tersebut, terdapat 2 perusahaan yang mendominasi produksi FPGA di seluruh dunia yaitu Xilinx dan Altera.

FPGA juga merupakan rangkaian digital yang bersifat programmable, dimana user dapat memakai rangkaian ini secara berulang-ulang, sesuai dengan program yang
akan di download di dalam FPGA tersebut. jika program yang di download tersebut gagal disimulasikan, kita perlu menyusun ulang program dalam komputer sesuai
yang dibutuhkan dan ketika siap, download lagi program tersebut ke FPGA, begitu untuk seterusnya.

kelemahan pada FPGA ini adalah rangkaian ini bersifat sementara(volatile), jika listrik mati, maka semua program yang di download dalam FPGA akan hilang, karena FPGA ini menggunakan EPROM. EPROM adalah memori hanya baca dan dapat diprogram serta dihapus. Jenis EPROM yang paling populer adalah jenis ultraviolet atau EPROM UV.Setelah diprogram secara listrik, memori dapat dihapus dengan cara pencahayaan sinar UV berintensitas tinggi.

Mengapa harus berbahasa indonesia..??

Tulisan yang ke (1) Bahasa Indonesia
oleh : Jettira V

Negara Indonesia adalah Negara yang memiliki banyak ragam suku. Ada jawa, sunda, betawi, melayu, batak, nias dll. Dan setiap suku-suku memiliki bahasa daerah masing-masing, yang digunakan sebagai bahasa komunikasi antar suku mereka. Namun dalam Negara Indonesia tetap memiliki satu bahasa yang menjadi bahasa komunikasi untuk menghubungkan berbagai macam suku tersebut yakni bahasa Indonesia.

Bahasa Indonesia adalah satu-satunya bahasa yang dapat dimengerti oleh masyarakat asli Indonesia. Oleh sebab itu bahasa Indonesia selalu digunaka oleh setiap suku-suku yang berbeda ketika sedang berbicara atau berkomunikasi, supaya satu sama lain saling mengerti.


Kata baku dan tidak baku

Tugas yang ke (1) Bahasa indonesia
oleh : Jettira V


Kata baku Tidak baku
1. Aktif ==> aktip
2. Aktivitas==> aktifitas
3. Analisis ==>analisa
4. Apotek ==>apotik
5. Asas ==>azas
6. Atlet ==>atlit
7. Bus ==>bis
8. Besok ==>esok
9. Diagnosis==> diagnose
10. Ekstrakurikuler==> ekstrakulikuler
11. Ekstrem ==>ekstrim
12. Embus==> hembus
13. Februari==> Pebruari
14. Frekuensi ==> frekwensi
15. Foto ==>photo
16. Gladi ==>geladi
17. Hierarki==> hirarki
18. Hypnosis ==>hipnotis
19. ibu kota ==> ibukota
20. ijazah==> ijasah
21. imbau==> himbau
22. indera==> indra
23. inderagiri ==>indragiri
24. istri==> isteri
25. izin ==> ijin
26. jadwal==> jadual
27. jenderal==> jendral
28. Jumat ==>Jum'at
29. Kacamata==> kaca mata
30. Kanker==> kangker
31. Karier==> karir
32. Katolik ==>Katholik
33. Kendaraan==> kenderaan
34. Komoditas ==> komoditi
35. Komplet==>komplit
36. Konkret ==>konkrit, kongkrit
37. kosa kata==> kosakata
38. kualitas==> kwalitas, kwalitet
39. kuantitas==> kwantitas
40. kuitansi==> kwitansi
41. kuno ==>kuna
42. lokakarya==> loka karya
43. maaf==> ma'af
44. makhluk==> mahluk, mahkluk
45. mazhab ==>mahzab
46. metode ==> metoda
47. mungkir==> pungkir
48. nakhoda ==>nahkoda, nakoda
49. napas==> nafas
50. narasumber==> nara sumber
51. nasihat ==>nasehat
52. negative ==>negatip
53. November==> Nopember
54. Objek ==>obyek
55. Objektif==> obyektif/p
56. Olahraga ==>olah raga
57. orang tua==> orangtua
58. paham ==>faham
59. persen ==>prosen
60. pelepasan==> penglepasan
61. penglihatan==> pelihatan; pengecualian
62. permukiman==> pemukiman
63. perumahan==> pengrumahan
64. pikir==> fikir
65. Prancis==> Perancis
66. Praktik ==>praktek
67. Provinsi==> propinsi
68. Putra==> putera
69. Putri ==> puteri
70. Realitas ==>realita
71. Risiko==> resiko
72. Saksama==> seksama
73. Samudra==> samudera
74. sangsi (ragu-ragu) ==> sanksi(konsekuensi)
75. saraf==> syaraf
76. sarat (penuh) ==>syarat (kondisi yang harus dipenuhi)
77. sekretaris ==>sekertaris
78. sekuriti ==> sekuritas
79. segitiga ==>segi tiga
80. selebritas ==>selebriti
81. sepak bola==> sepakbola
82. silakan ==>silahkan
83. sintesis==> sintesa
84. system==> sistim
85. surge ==>sorga, syurga
86. subjek==> subyek
87. subjektif==> subyektif/p
88. Sumatra ==>Sumatera
89. Standar ==>standard
90. Standardisasi ==>standarisasi
91. tanda tangan==> tandatangan
92. takhta==> tahta
93. teknik ==> tehnik
94. telepon==> tel(f/p)on, telefon
95. teoretis==> teoritis
96. utang==> hutang
97. walikota==> wali kota
98. Yogyakarta==> Jogjakarta
99. Zaman ==> jaman
100. Imajinasi ==>Imaginasi